
Je vous expose le probleme:
Ce bous de code marche :
Code : Tout sélectionner
entity MUX_DISP is
port (TIME_DATA : in std_logic_vector(3 downto 0);
ALARM_DATA : in std_logic_vector(3 downto 0);
SET_DATA : in std_logic_vector(3 downto 0);
SHOW_A : in std_logic;
SHOW_T : in std_logic;
ALARM_ON : in std_logic;
SOUND_A : out std_logic;
DISPLAY : out std_logic_vector(3 downto 0));
end MUX_DISP;
Code : Tout sélectionner
entity MUX_DISP is
port (TIME_DATA,ALARM_DATA : in std_logic_vector(3 downto 0);
--ALARM_DATA : in std_logic_vector(3 downto 0);
SET_DATA : in std_logic_vector(3 downto 0);
SHOW_A : in std_logic;
SHOW_T : in std_logic;
ALARM_ON : in std_logic;
SOUND_A : out std_logic;
DISPLAY : out std_logic_vector(3 downto 0));
DISP_SEG : out std_logic_vector(4 downto 0));
end MUX_DISP;
de l'aide SVP, je ne pense pas trouvé une solution tout seul cette fois
