La recherche a retourné 18 résultats

par sabord
09 juin 2005 14:26
Forum : Electronique numérique et logique programmable
Sujet : vhdl et duart
Réponses : 6
Vues : 6581

vhdl et duart: question conçernant la synchronisation

Bonjour, J'ai l'intention d'utiliser le port série avec une vitesse de 19200 bauds. J'imagine que cela veut dire que chaque fois que j'enverrai un octet dans la FIFO, ou que j'en lirais un, je dois au préalabble me synchroniser avec une fréquence de 19200hz. c'est bien ça? Mais lorsque j'adresse le ...
par sabord
02 juin 2005 14:05
Forum : Electronique numérique et logique programmable
Sujet : vhdl et duart
Réponses : 6
Vues : 6581

vhdl et duart

bonjour, j'ai finalement décidé d'utiliser un uart pour envoyer les données du FPGA sur le port série(parce que je l'avais jamais fait surtout). C'est plus complexe que je le pensais car il faut piloter l'uart, en fait un duart avec 8 octet de FIFO ici, de chez philips, dont voici la datasheet: http...
par sabord
27 mai 2005 10:42
Forum : Electronique numérique et logique programmable
Sujet : Probème de compilation sur Quartus II
Réponses : 10
Vues : 10269

piged: vu le message d'erreur tu as du appeler un fichier "cpt.vhd" et le définir comme l'entitée principale du projet mais oublier de créer une entitée nommée "cpt". Le fichier TOP_Level doit être de la forme suivante: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_...
par sabord
26 mai 2005 11:53
Forum : Electronique numérique et logique programmable
Sujet : Probème de compilation sur Quartus II
Réponses : 10
Vues : 10269

je crois que c'est le genre d'erreure qui apparaît qd on a oublié un ";"
copié collé abusif??
par sabord
26 mai 2005 11:52
Forum : Electronique numérique et logique programmable
Sujet : Probème de compilation sur Quartus II
Réponses : 10
Vues : 10269

library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity compteur is port ( clk : in bit; Q : out std_logic_vector(3 downto 0)); end compteur; architecture description of compteur is signal cpt : std_logic_vector(3 dow...
par sabord
25 mai 2005 15:42
Forum : Electronique numérique et logique programmable
Sujet : Probème de compilation sur Quartus II
Réponses : 10
Vues : 10269

alors remplace ta définition de cpt par:

signal cpt: std_logic_vector(3 downto 0);

si cpt est défini de la même façon que Q tu n'auras pas de problème

c comme ça que je fais pour les compteurs.
par sabord
25 mai 2005 15:04
Forum : Electronique numérique et logique programmable
Sujet : Probème de compilation sur Quartus II
Réponses : 10
Vues : 10269

au lieu de bit_vector, utilise std_logic_vector: là ça marche
par sabord
25 mai 2005 15:01
Forum : Electronique numérique et logique programmable
Sujet : quartus2: warning que je ne comprend pas
Réponses : 17
Vues : 13570

ayé ça marche!

ayé mon multiplieur fonctionne! J'ai téléchargé la version 5.0 de quartus où j'ai pu avoir la librairie math_real: déjà ça aide, et j'ai rajouté la librairie fixed_pkg.vhd en l'incorporant à mon projet. maintenant ça marche avec la virgule fixe, par contre je suis limité en précision, effectivement....
par sabord
23 mai 2005 14:23
Forum : Electronique numérique et logique programmable
Sujet : quartus2: warning que je ne comprend pas
Réponses : 17
Vues : 13570

ben ce que j'ai essayé de faire des le départ, c placer dans le dossier library\ieee\ de quartus les fichiers fixed_pkg et math_real (que je n'ai pas non plus, et dont a besoin le premier.) Et lorsque j'analyse le fichier j'ai le message suivant: Error: VHDL Use Clause error at Multiplieur.vhd(5): d...
par sabord
23 mai 2005 13:27
Forum : Electronique numérique et logique programmable
Sujet : quartus2: warning que je ne comprend pas
Réponses : 17
Vues : 13570

mais merci pour l'info: je ne connaissais pas fp